private function _class_wrap_write()
    {
        // Class write
        $msg = 'CLASS  : write';
        $start_ts = microtime(true);