workcraft/workcraft

View on GitHub

Showing 591 of 2,488 total issues

Avoid deeply nested control flow statements.
Open

                        if (!min.containsAll(getRelationAlg().getONInitial(lowGroup))) {
                            match = false;
                        }

    Avoid deeply nested control flow statements.
    Open

                            for (int j = 0; j < n; j++) {
                                if (graph[t][j] > 0) graph[i][j] = 1;
                            }

      Avoid deeply nested control flow statements.
      Open

                                                  if (n instanceof VisualVertex) {
                                                      VisualVertex f = (VisualVertex) n;
                                                      if (f.getLabel().equals(first)) {
                                                          for (Node n1 : p.getChildren()) {
                                                              if (n1 instanceof VisualVertex) {

        Avoid deeply nested control flow statements.
        Open

                                if (formulaToString(nextArc.getCondition()).equals(arcCondition)) {
                                    insert += " -> ";
                                    String nextVertexCondition = formulaToString(nextVertex.getCondition());
                                    String childCondition = formulaToString(child.getCondition());
                                    if (!"1".equals(nextVertexCondition) || !childCondition.equals(arcCondition)) {

          Avoid deeply nested control flow statements.
          Open

                                  for (Time ndin : postset) {
                                      ndin.setStartTime(nd.getEndTime());
                                  }

            Avoid deeply nested control flow statements.
            Open

                                    if (!nd.getEndTime().isSpecified()) {
                                        nd.setEndTime(end);
                                        addModify(t, 3);
                                    } else {
                                        if (!nd.getEndTime().equals(end)) {

              Avoid deeply nested control flow statements.
              Open

                                      if (node instanceof Condition) {
                                          phase.add((Condition) node);
                                      }
              Severity: Major
              Found in workcraft/SonPlugin/src/org/workcraft/plugins/son/algorithm/BSONAlg.java - About 45 mins to fix

                Avoid deeply nested control flow statements.
                Open

                                        for (MathNode node : wtg.getPostset(entry)) {
                                            if ((isFirstTransition(wtg, node)) && (isWaveformInChoice(wtg, waveform))) {
                                                DialogUtils.showError("Signal '" + wtg.getName(entry.getSignal())
                                                        + "' cannot be fired immediately after a choice, in waveform '"
                                                        + wtg.getName(waveform) + "'.");

                  Method getTableCellRendererComponent has 6 arguments (exceeds 4 allowed). Consider refactoring.
                  Open

                          public Component getTableCellRendererComponent(JTable table, Object value,
                                  boolean isSelected, boolean hasFocus, int row, int column) {
                  Severity: Minor
                  Found in workcraft/SonPlugin/src/org/workcraft/plugins/son/gui/ScenarioTable.java - About 45 mins to fix

                    Avoid deeply nested control flow statements.
                    Open

                                            if ("to".equals(piece[0])) {
                                                VisualSONConnection con = null;
                                                try {
                                                    if (piece[2].equals(Semantics.PNLINE.toString())) {
                                                        con = (VisualSONConnection) visualNet.connect(p, e, Semantics.PNLINE);
                    Severity: Major
                    Found in workcraft/SonPlugin/src/org/workcraft/plugins/son/BlockConnector.java - About 45 mins to fix

                      Method getTableCellRendererComponent has 6 arguments (exceeds 4 allowed). Consider refactoring.
                      Open

                              public Component getTableCellRendererComponent(JTable table, Object value,
                                      boolean isSelected, boolean hasFocus, int row, int column) {

                        Avoid deeply nested control flow statements.
                        Open

                                                if (constraints[k][i][i] == '0' || constraints[k][j][j] == '0') ch = '-';

                          Avoid deeply nested control flow statements.
                          Open

                                                  for (int p = 0; p < 2; p++) {
                                                      for (int q = 0; q < 2; q++) {
                                                          BooleanFormula arg1 = p != 0 ? WORKER.not(param1) : param1;
                                                          BooleanFormula arg2 = q != 0 ? WORKER.not(param2) : param2;
                                                          allVariables.add(WORKER.and(arg1, arg2));
                          Severity: Major
                          Found in workcraft/CpogPlugin/src/org/workcraft/plugins/cpog/sat/Optimiser.java - About 45 mins to fix

                            Avoid deeply nested control flow statements.
                            Open

                                                    if (e1 instanceof TransitionNode) {
                                                        TransitionNode[] subResult = new TransitionNode[2];
                                                        subResult[0] = (TransitionNode) e1;
                                                        subResult[1] = e;
                                                        result.add(subResult);
                            Severity: Major
                            Found in workcraft/SonPlugin/src/org/workcraft/plugins/son/algorithm/BSONAlg.java - About 45 mins to fix

                              Avoid deeply nested control flow statements.
                              Open

                                                      if (!c2.isMarked()) {
                                                          return false;
                                                      }

                                Avoid deeply nested control flow statements.
                                Open

                                                        if (!hasMarking) {
                                                            c2.setMarked(false);
                                                        }

                                  Avoid deeply nested control flow statements.
                                  Open

                                                          for (VisualConnection con : model.getConnections(cPlace)) {
                                                              ((VisualSONConnection) con).setSemantics(Semantics.ASYNLINE);
                                                          }

                                    Avoid deeply nested control flow statements.
                                    Open

                                                                for (int i = 0; i < result.length(); i++) {
                                                                    if (result.charAt(i) == '(') {
                                                                        end += ')';
                                                                    } else if (result.charAt(i) == ')') {
                                                                        end += '(';

                                      Avoid deeply nested control flow statements.
                                      Open

                                                              for (String vert1 : verts1) {
                                                                  for (String vert2 : verts2) {
                                                                      arc = (VisualArc) visualCpog.getConnection(vertexMap.get(vert1), vertexMap.get(vert2));
                                                                      ArrayList<VisualArc> dupArcs = new ArrayList<>();
                                                                      if (arc != null) {

                                        Avoid deeply nested control flow statements.
                                        Open

                                                                if (i != null) {
                                                                    m.setEndTime(i);
                                                                } else {
                                                                    throw new TimeEstimationException(net.getNodeReference(m) + ".finish ("
                                                                            + m.getEndTime().toString() + ") is inconsistent with " + net.getNodeReference(nd)
                                          Severity
                                          Category
                                          Status
                                          Source
                                          Language