workcraft/workcraft

View on GitHub

Showing 591 of 2,492 total issues

Avoid deeply nested control flow statements.
Open

                            for (int i = 0; i < result.length(); i++) {
                                if (result.charAt(i) == '(') {
                                    end += ')';
                                } else if (result.charAt(i) == ')') {
                                    end += '(';

    Avoid deeply nested control flow statements.
    Open

                            if (previousState != Signal.State.STABLE) {
                                DialogUtils.showError("Signal '" + signalGuarded
                                        + "' should be stable before reaching the state '"
                                        + wtg.getName(precedingState) + "'.");
                                return false;

      Avoid deeply nested control flow statements.
      Open

                              if (!c2.isMarked()) {
                                  return false;
                              }

        Avoid deeply nested control flow statements.
        Open

                                if (!((ChannelPlace) post).isMarked()) {
                                    for (MathNode post2 : net.getPostset(post)) {
                                        if (visit.contains(post2)) {
                                            continue;
                                        } else if (!result.contains(post2) || del.contains(post2)) {

          Avoid deeply nested control flow statements.
          Open

                                  if (!c2.isMarked()) {
                                      return false;
                                  }

            Avoid deeply nested control flow statements.
            Open

                                    if (!hasMarking) {
                                        c2.setMarked(false);
                                    }

              Avoid deeply nested control flow statements.
              Open

                                      for (VisualConnection con : model.getConnections(cPlace)) {
                                          ((VisualSONConnection) con).setSemantics(Semantics.SYNCLINE);
                                      }

                Avoid deeply nested control flow statements.
                Open

                                            for (int i = 0; i < cond.length(); i++) {
                                                if (SPECIAL_SYMBOLS.indexOf(cond.charAt(i)) < 0) {
                                                    tmp = "";
                                                    while ((i < cond.length()) && (SPECIAL_SYMBOLS.indexOf(cond.charAt(i)) < 0)) {
                                                        tmp += cond.charAt(i);

                  Avoid deeply nested control flow statements.
                  Open

                                          for (int p = 0; p < 2; p++) {
                                              for (int q = 0; q < 2; q++) {
                                                  BooleanFormula arg1 = p != 0 ? WORKER.not(param1) : param1;
                                                  BooleanFormula arg2 = q != 0 ? WORKER.not(param2) : param2;
                                                  allVariables.add(WORKER.and(arg1, arg2));
                  Severity: Major
                  Found in workcraft/CpogPlugin/src/org/workcraft/plugins/cpog/sat/Optimiser.java - About 45 mins to fix

                    Avoid deeply nested control flow statements.
                    Open

                                            for (VisualNode n : getChildren(visualCpog, current)) {
                                                if (!visitedVertices.contains(n)) {
                                                    q.add(n);
                                                }
                                            }

                      Avoid deeply nested control flow statements.
                      Open

                                                      if (vert.getParent() instanceof VisualPage) {
                                                          VisualPage page = (VisualPage) vert.getParent();
                                                          refKey = page.getLabel();
                                                          relaventPages.addAll(referenceMap.get(page.getLabel()).getRefPages());
                                                          relaventPages.remove(page);

                        Avoid deeply nested control flow statements.
                        Open

                                                if (first.contains("(")) {
                                                    first = first.replace("(", "");
                                                    first = first.replace(")", "");
                                                    while (first.contains("+")) {
                                                        ind = first.indexOf("+");

                          Avoid deeply nested control flow statements.
                          Open

                                                          if ((finalWaveformSignalState.containsKey(signal.getKey())) &&
                                                                  (!finalWaveformSignalState.get(signal.getKey()).equals(signal.getValue()))) {
                                                              msg += ' ' + signal.getKey();
                                                          }

                            Avoid deeply nested control flow statements.
                            Open

                                                    if (s == state) continue;

                              Avoid deeply nested control flow statements.
                              Open

                                                                  if (e == eventItem.getEvent()) {
                                                                      selectedEvents.add(e);
                                                                      eventItem.setSelected(true);
                                                                      eventItem.setFillColor(selectedColor);
                                                                  }

                                Avoid deeply nested control flow statements.
                                Open

                                                        for (Node n: cycle) {
                                                            if (n instanceof TransitionNode) {
                                                                eventCycle.add((TransitionNode) n);
                                                                if (!getPreAsynEvents((TransitionNode) n).isEmpty()
                                                                        && hasCommonElements(fireList2, getPreAsynEvents((TransitionNode) n))) {

                                  Avoid deeply nested control flow statements.
                                  Open

                                                          if (dependencies == 0) {
                                                              if (nextEvent.getVisualSignal() != visitingEvent.getVisualSignal()) {
                                                                  nodesX.put(nextEvent, nodesX.get(visitingEvent) - DtdSettings.getTransitionSeparation());
                                                              }
                                                              toVisit.add(nextEvent);

                                    Avoid deeply nested control flow statements.
                                    Open

                                                            if (!sync.contains(n)) {
                                                                err += ((ChannelPlace) pre).getErrors();
                                                            }

                                      Avoid deeply nested control flow statements.
                                      Open

                                                              if (!sync.contains(n)) {
                                                                  err += ((ChannelPlace) pre).getErrors();
                                                              }

                                        Avoid deeply nested control flow statements.
                                        Open

                                                                for (Condition min : bsonAlg.getMinimalPhase(getActivatedPhases(phases.get(post)))) {
                                                                    min.setErrors(min.getErrors() + ((Condition) post).getErrors());
                                                                }
                                          Severity
                                          Category
                                          Status
                                          Source
                                          Language