Zerotask/rust-jungle

View on GitHub

Showing 156 of 156 total issues

space indentation expected
Open

            'Access-Control-Allow-Origin': '*'
Severity: Minor
Found in src/hooks.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

        plugins: [bgSyncPlugin]
Severity: Minor
Found in src/service-worker.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    // Check to see if the request is a navigation to a new page
Severity: Minor
Found in src/service-worker.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    // Use a Cache First caching strategy
Severity: Minor
Found in src/service-worker.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    };
Severity: Minor
Found in src/stores/lastLesson.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

Missing semicolon
Open

import type { LastLesson } from '$lib/lessonInterfaces';
Severity: Minor
Found in src/stores/lastLesson.ts by tslint

Rule: semicolon

Enforces consistent semicolon usage at the end of every statement.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • "always" enforces semicolons at the end of every statement.
  • "never" disallows semicolons at the end of every statement except for when they are necessary.

The following arguments may be optionally provided:

  • "ignore-interfaces" skips checking semicolons at the end of interface members.
  • "ignore-bound-class-methods" skips checking semicolons at the end of bound class methods.
  • "strict-bound-class-methods" disables any special handling of bound class methods and treats them as any other assignment. This option overrides "ignore-bound-class-methods".
Examples
"semicolon": true,always
"semicolon": true,never
"semicolon": true,always,ignore-interfaces
"semicolon": true,always,ignore-bound-class-methods
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "always",
        "never"
      ]
    },
    {
      "type": "string",
      "enum": [
        "ignore-interfaces"
      ]
    }
  ],
  "additionalItems": false
}

For more information see this page.

unused expression, expected an assignment or function call
Open

import type { Lessons } from '$lib/lessonInterfaces';
Severity: Minor
Found in src/stores/lessons.ts by tslint

Rule: no-unused-expression

Disallows unused expression statements.

Unused expressions are expression statements which are not assignments or function calls (and thus usually no-ops).

Rationale

Detects potential errors where an assignment or function call was intended.

Config

Three arguments may be optionally provided:

  • allow-fast-null-checks allows to use logical operators to perform fast null checks and perform method or function calls for side effects (e.g. e && e.preventDefault()).
  • allow-new allows 'new' expressions for side effects (e.g. new ModifyGlobalState();.
  • allow-tagged-template allows tagged templates for side effects (e.g. this.add\foo`;`.
Examples
"no-unused-expression": true
"no-unused-expression": true,allow-fast-null-checks
Schema
{
  "type": "array",
  "items": {
    "type": "string",
    "enum": [
      "allow-fast-null-checks",
      "allow-new",
      "allow-tagged-template"
    ]
  },
  "minLength": 0,
  "maxLength": 3
}

For more information see this page.

space indentation expected
Open

    data: Lessons;
Severity: Minor
Found in src/stores/lessons.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

Missing semicolon
Open

import type { Lessons } from '$lib/lessonInterfaces';
Severity: Minor
Found in src/stores/lessons.ts by tslint

Rule: semicolon

Enforces consistent semicolon usage at the end of every statement.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • "always" enforces semicolons at the end of every statement.
  • "never" disallows semicolons at the end of every statement except for when they are necessary.

The following arguments may be optionally provided:

  • "ignore-interfaces" skips checking semicolons at the end of interface members.
  • "ignore-bound-class-methods" skips checking semicolons at the end of bound class methods.
  • "strict-bound-class-methods" disables any special handling of bound class methods and treats them as any other assignment. This option overrides "ignore-bound-class-methods".
Examples
"semicolon": true,always
"semicolon": true,never
"semicolon": true,always,ignore-interfaces
"semicolon": true,always,ignore-bound-class-methods
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "always",
        "never"
      ]
    },
    {
      "type": "string",
      "enum": [
        "ignore-interfaces"
      ]
    }
  ],
  "additionalItems": false
}

For more information see this page.

Missing semicolon
Open

import type { Lessons } from '$lib/lessonInterfaces';
Severity: Minor
Found in src/stores/lessons.ts by tslint

Rule: semicolon

Enforces consistent semicolon usage at the end of every statement.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • "always" enforces semicolons at the end of every statement.
  • "never" disallows semicolons at the end of every statement except for when they are necessary.

The following arguments may be optionally provided:

  • "ignore-interfaces" skips checking semicolons at the end of interface members.
  • "ignore-bound-class-methods" skips checking semicolons at the end of bound class methods.
  • "strict-bound-class-methods" disables any special handling of bound class methods and treats them as any other assignment. This option overrides "ignore-bound-class-methods".
Examples
"semicolon": true,always
"semicolon": true,never
"semicolon": true,always,ignore-interfaces
"semicolon": true,always,ignore-bound-class-methods
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "always",
        "never"
      ]
    },
    {
      "type": "string",
      "enum": [
        "ignore-interfaces"
      ]
    }
  ],
  "additionalItems": false
}

For more information see this page.

space indentation expected
Open

    furtherInformationUrls?: string[];
Severity: Minor
Found in src/lib/lessonInterfaces.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    date: Date;
Severity: Minor
Found in src/lib/lessonInterfaces.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    };
Severity: Minor
Found in src/hooks.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

        // Put all cached files in a cache named 'images'
Severity: Minor
Found in src/service-worker.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

            // Ensure that only requests that result in a 200 status are cached
Severity: Minor
Found in src/service-worker.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

Ordered list item prefix
Open

2. Click on '....'

MD029 - Ordered list item prefix

Tags: ol

Aliases: ol-prefix

Parameters: style ("one", "ordered"; default "one")

This rule is triggered on ordered lists that do not either start with '1.' or do not have a prefix that increases in numerical order (depending on the configured style, which defaults to 'one').

Example valid list if the style is configured as 'one':

1. Do this.
1. Do that.
1. Done.

Example valid list if the style is configured as 'ordered':

1. Do this.
2. Do that.
3. Done.

Bare URL used
Open

https://www.contributor-covenant.org/faq. Translations are available at
Severity: Info
Found in CODE_OF_CONDUCT.md by markdownlint

MD034 - Bare URL used

Tags: links, url

Aliases: no-bare-urls

This rule is triggered whenever a URL is given that isn't surrounded by angle brackets:

For more information, see http://www.example.com/.

To fix this, add angle brackets around the URL:

For more information, see <http:></http:>.

Rationale: Without angle brackets, the URL isn't converted into a link in many markdown parsers.

Note: if you do want a bare URL without it being converted into a link, enclose it in a code block, otherwise in some markdown parsers it will be converted:

`http://www.example.com`

Line length
Open

Rust has a steep learning curve and for some people this might be the reason to look for an alternative.
Severity: Info
Found in README.md by markdownlint

MD013 - Line length

Tags: line_length

Aliases: line-length Parameters: linelength, codeblocks, tables (number; default 80, boolean; default true)

This rule is triggered when there are lines that are longer than the configured line length (default: 80 characters). To fix this, split the line up into multiple lines.

This rule has an exception where there is no whitespace beyond the configured line length. This allows you to still include items such as long URLs without being forced to break them in the middle.

You also have the option to exclude this rule for code blocks and tables. To do this, set the code_blocks and/or tables parameters to false.

Code blocks are included in this rule by default since it is often a requirement for document readability, and tentatively compatible with code rules. Still, some languages do not lend themselves to short lines.

space indentation expected
Open

                    expires: Date.now() + cacheDuration,
Severity: Minor
Found in src/stores/lessons.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

                set(data);
Severity: Minor
Found in src/stores/lessons.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

Severity
Category
Status
Source
Language