noomorph/github-user-rank-extension

View on GitHub

Showing 2,423 of 2,423 total issues

space indentation expected
Open

        case 'haml': return false;
Severity: Minor
Found in src/background/utils/gitlance.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

Missing semicolon
Open

                    return reject('unexpected response from API')
Severity: Minor
Found in src/background/utils/gitlance.ts by tslint

Rule: semicolon

Enforces consistent semicolon usage at the end of every statement.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • "always" enforces semicolons at the end of every statement.
  • "never" disallows semicolons at the end of every statement except for when they are necessary.

The following arguments may be optionally provided:

  • "ignore-interfaces" skips checking semicolons at the end of interface members.
  • "ignore-bound-class-methods" skips checking semicolons at the end of bound class methods.
  • "strict-bound-class-methods" disables any special handling of bound class methods and treats them as any other assignment. This option overrides "ignore-bound-class-methods".
Examples
"semicolon": true,always
"semicolon": true,never
"semicolon": true,always,ignore-interfaces
"semicolon": true,always,ignore-bound-class-methods
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "always",
        "never"
      ]
    },
    {
      "type": "string",
      "enum": [
        "ignore-interfaces"
      ]
    }
  ],
  "additionalItems": false
}

For more information see this page.

space indentation expected
Open

    languages: GitlanceLanguageProfile[];
Severity: Minor
Found in src/common/gitlance.schema.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

statements are not aligned
Open

    t.true(response.ok, 'should have received OK response');

Rule: align

Enforces vertical alignment.

Rationale

Helps maintain a readable, consistent style in your codebase.

Consistent alignment for code statements helps keep code readable and clear. Statements misaligned from the standard can be harder to read and understand.

Notes
  • Has Fix

Config

Five arguments may be optionally provided:

  • "parameters" checks alignment of function parameters.
  • "arguments" checks alignment of function call arguments.
  • "statements" checks alignment of statements.
  • "members" checks alignment of members of classes, interfaces, type literal, object literals and object destructuring.
  • "elements" checks alignment of elements of array literals, array destructuring and tuple types.
Examples
"align": true,parameters,statements
Schema
{
  "type": "array",
  "items": {
    "type": "string",
    "enum": [
      "arguments",
      "elements",
      "members",
      "parameters",
      "statements"
    ]
  },
  "minLength": 1,
  "maxLength": 5
}

For more information see this page.

unused expression, expected an assignment or function call
Open

        parent && parent.insertBefore(badges, anchor);
Severity: Minor
Found in src/content/routes/user_profile.ts by tslint

Rule: no-unused-expression

Disallows unused expression statements.

Unused expressions are expression statements which are not assignments or function calls (and thus usually no-ops).

Rationale

Detects potential errors where an assignment or function call was intended.

Config

Three arguments may be optionally provided:

  • allow-fast-null-checks allows to use logical operators to perform fast null checks and perform method or function calls for side effects (e.g. e && e.preventDefault()).
  • allow-new allows 'new' expressions for side effects (e.g. new ModifyGlobalState();.
  • allow-tagged-template allows tagged templates for side effects (e.g. this.add\foo`;`.
Examples
"no-unused-expression": true
"no-unused-expression": true,allow-fast-null-checks
Schema
{
  "type": "array",
  "items": {
    "type": "string",
    "enum": [
      "allow-fast-null-checks",
      "allow-new",
      "allow-tagged-template"
    ]
  },
  "minLength": 0,
  "maxLength": 3
}

For more information see this page.

This overload and the one on line 12 can be combined into one signature taking number | Node.
Open

export function render(element: Node): Node;
Severity: Minor
Found in src/content/utils/h.ts by tslint

Rule: unified-signatures

Warns for any two overloads that could be unified into one by using a union or an optional/rest parameter.

Notes
  • TypeScript Only

Config

Not configurable.

Examples
"unified-signatures": true

For more information see this page.

space indentation expected
Open

        children
Severity: Minor
Found in src/content/utils/h.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

        case 'FetchGitlanceDataRequest':
Severity: Minor
Found in src/background/handler.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    badges.sort((a, b) => (a.bits === b.bits) ? a.rank - b.rank : b.bits - a.bits);
Severity: Minor
Found in src/background/utils/gitlance.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

        case 'html': return false;
Severity: Minor
Found in src/background/utils/gitlance.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

        case 'css': return false;
Severity: Minor
Found in src/background/utils/gitlance.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    LOGIN_NOT_FOUND = 1,
Severity: Minor
Found in src/common/bi.schema.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    t.not(url.indexOf(login.replace(/\s/g, '%20')), -1, 'should encode whitespaces');
Severity: Minor
Found in src/common/utils/gitlance.test.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    return `https://api.gitlance.net/v1/profiles?login=${encodeURIComponent(login)}`;
Severity: Minor
Found in src/common/utils/gitlance.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    return `http://gitlance.net/top/${encodeURIComponent(language.toLowerCase())}`;
Severity: Minor
Found in src/common/utils/gitlance.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

This overload and the one on line 12 can be combined into one signature taking number | {}.
Open

export function render(element: {}): Node;
Severity: Minor
Found in src/content/utils/h.ts by tslint

Rule: unified-signatures

Warns for any two overloads that could be unified into one by using a union or an optional/rest parameter.

Notes
  • TypeScript Only

Config

Not configurable.

Examples
"unified-signatures": true

For more information see this page.

space indentation expected
Open

    fetch_profiles_for(request.data.login).then(profiles => {
Severity: Minor
Found in src/background/handler.ts by tslint

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

" should be '
Open

} else if (typeof browser !== "undefined") {
Severity: Minor
Found in src/background/index.ts by tslint

Rule: quotemark

Enforces quote character for string literals.

Notes
  • Has Fix

Config

Five arguments may be optionally provided:

  • "single" enforces single quotes.
  • "double" enforces double quotes.
  • "backtick" enforces backticks.
  • "jsx-single" enforces single quotes for JSX attributes.
  • "jsx-double" enforces double quotes for JSX attributes.
  • "avoid-template" forbids single-line untagged template strings that do not contain string interpolations. Note that backticks may still be used if "avoid-escape" is enabled and both single and double quotes are present in the string (the latter option takes precedence).
  • "avoid-escape" allows you to use the "other" quotemark in cases where escaping would normally be required. For example, [true, "double", "avoid-escape"] would not report a failure on the string literal 'Hello "World"'.
Examples
"quotemark": true,single,avoid-escape,avoid-template
"quotemark": true,single,jsx-double
Schema
{
  "type": "array",
  "items": {
    "type": "string",
    "enum": [
      "single",
      "double",
      "backtick",
      "jsx-single",
      "jsx-double",
      "avoid-escape",
      "avoid-template"
    ]
  },
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    language: { name: 'JavaScript'},

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

space indentation expected
Open

    gitBits: 1

Rule: indent

Enforces indentation with tabs or spaces.

Rationale

Using only one of tabs or spaces for indentation leads to more consistent editor behavior, cleaner diffs in version control, and easier programmatic manipulation.

Notes
  • Has Fix

Config

One of the following arguments must be provided:

  • spaces enforces consistent spaces.
  • tabs enforces consistent tabs.

A second optional argument specifies indentation size:

  • 2 enforces 2 space indentation.
  • 4 enforces 4 space indentation.

Indentation size is required for auto-fixing, but not for rule checking.

NOTE: auto-fixing will only convert invalid indent whitespace to the desired type, it will not fix invalid whitespace sizes.

Examples
"indent": true,spaces
"indent": true,spaces,4
"indent": true,tabs,2
Schema
{
  "type": "array",
  "items": [
    {
      "type": "string",
      "enum": [
        "tabs",
        "spaces"
      ]
    },
    {
      "type": "number",
      "enum": [
        2,
        4
      ]
    }
  ],
  "minLength": 0,
  "maxLength": 5
}

For more information see this page.

Severity
Category
Status
Source
Language