suoto/hdl_checker

View on GitHub
.ci/test_support/test_project/basic_library/clk_en_generator.vhd

Summary

Maintainability
Test Coverage

No issues here. Congrats!