suoto/hdl_checker

View on GitHub
Branch: master(View all)
NameLines of codeMaintainabilityTest coverage
.appveyor.yml
.ci/scripts/Dockerfile
.ci/scripts/appveyor_env.ps1
.ci/scripts/docker_build.sh
.ci/scripts/docker_entry_point.sh
.ci/scripts/run_tests.py172
A
1 hr
.ci/scripts/setup_env.ps1
.ci/scripts/setup_ghdl.ps1
.ci/scripts/setup_msim.ps1
.ci/scripts/test_ghdl.ps1
.ci/scripts/test_msim.ps1
.ci/test_support/test_builders/no_messages.sv
.ci/test_support/test_builders/no_messages.v
.ci/test_support/test_builders/no_messages.vhd
.ci/test_support/test_builders/source_with_error.vhd
.ci/test_support/test_project/another_library/foo.vhd
.ci/test_support/test_project/basic_library/clk_en_generator.vhd
.ci/test_support/test_project/basic_library/clock_divider.vhd
.ci/test_support/test_project/basic_library/package_with_constants.vhd
.ci/test_support/test_project/basic_library/package_with_functions.vhd
.ci/test_support/test_project/basic_library/two_entities_one_file.vhd
.ci/test_support/test_project/basic_library/use_entity_a_and_b.vhd
.ci/test_support/test_project/basic_library/very_common_pkg.vhd
.ci/test_support/test_project/config.json
.ci/test_support/test_project/ghdl.prj
.ci/test_support/test_project/msim.prj
.ci/test_support/test_project/verilog/parity.sv
.ci/test_support/test_project/verilog/parity.v
.ci/test_support/test_project/vimhdl.prj
.ci/test_support/test_project/xvhdl.prj
.coveragerc
.gitattributes
.github/ISSUE_TEMPLATE.md
.github/workflows/unit_tests.yml
.gitignore
.pylintrc
LICENSE
MANIFEST.in
README.md
hdl_checker/__init__.py25
A
0 mins
hdl_checker/__main__.py4
A
0 mins
hdl_checker/_version.py352
F
1 wk
hdl_checker/builder_utils.py135
A
1 hr
hdl_checker/builders/__init__.py0
A
0 mins
hdl_checker/builders/base_builder.py342
D
1 day
hdl_checker/builders/fallback.py23
A
0 mins
hdl_checker/builders/ghdl.py146
A
3 hrs
hdl_checker/builders/msim.py259
B
4 hrs
hdl_checker/builders/xvhdl.py125
A
45 mins
hdl_checker/config_generators/__init__.py8
A
0 mins