suoto/hdl_checker

View on GitHub
.ci/test_support/test_project/basic_library/two_entities_one_file.vhd

Summary

Maintainability
Test Coverage

No issues here. Congrats!