suoto/hdl_checker

View on GitHub
.ci/test_support/test_project/basic_library/use_entity_a_and_b.vhd

Summary

Maintainability
Test Coverage

No issues here. Congrats!