suoto/hdl_checker

View on GitHub
.ci/test_support/test_project/msim.prj

Summary

Maintainability
Test Coverage
builder = msim
target_dir = .build
vhdl           basic_library    basic_library/very_common_pkg.vhd
vhdl           basic_library    basic_library/package_with_constants.vhd
vhdl           basic_library    basic_library/clock_divider.vhd
vhdl           another_library  another_library/foo.vhd
vhdl           basic_library    basic_library/package_with_functions.vhd
vhdl           basic_library    basic_library/clk_en_generator.vhd
verilog        verilog          verilog/parity.v
systemverilog  verilog          verilog/parity.sv
vhdl   basic_library     basic_library/two_entities_one_file.vhd
vhdl   basic_library     basic_library/use_entity_a_and_b.vhd