suoto/hdl_checker

View on GitHub
Branch: master(View all)
NameLines of codeMaintainabilityTest coverage
.ci/scripts/appveyor_env.ps1
.ci/test_support/test_project/vimhdl.prj
.ci/scripts/test_ghdl.ps1
.ci/test_support/test_project/basic_library/clock_divider.vhd
README.md
.ci/scripts/Dockerfile
.ci/test_support/test_project/basic_library/very_common_pkg.vhd
.ci/test_support/test_project/basic_library/package_with_functions.vhd
.ci/test_support/test_project/basic_library/use_entity_a_and_b.vhd
.pylintrc
.ci/scripts/test_msim.ps1
.coveragerc
.gitattributes
.ci/scripts/docker_build.sh
.ci/scripts/setup_env.ps1
.appveyor.yml
.ci/test_support/test_project/basic_library/package_with_constants.vhd
.ci/test_support/test_project/verilog/parity.v
.ci/test_support/test_project/another_library/foo.vhd
.github/ISSUE_TEMPLATE.md
setup.cfg
unittest.cfg
.ci/scripts/setup_msim.ps1
LICENSE
.ci/test_support/test_project/config.json
.ci/test_support/test_project/xvhdl.prj
.github/workflows/unit_tests.yml
MANIFEST.in
.ci/scripts/docker_entry_point.sh
.ci/scripts/setup_ghdl.ps1
.ci/test_support/test_project/verilog/parity.sv
tox.ini
.ci/test_support/test_builders/source_with_error.vhd
.ci/test_support/test_project/basic_library/clk_en_generator.vhd
.gitignore
run_tests.sh
.ci/test_support/test_builders/no_messages.sv
.ci/test_support/test_builders/no_messages.vhd
.ci/test_support/test_builders/no_messages.v
.ci/test_support/test_project/basic_library/two_entities_one_file.vhd
.ci/test_support/test_project/ghdl.prj
.ci/test_support/test_project/msim.prj
hdl_checker/parsers/__init__.py0
A
0 mins
hdl_checker/parsers/elements/__init__.py0
A
0 mins
hdl_checker/builders/__init__.py0
A
0 mins
hdl_checker/__main__.py4
A
0 mins
hdl_checker/config_generators/__init__.py8
A
0 mins
hdl_checker/builders/fallback.py23
A
0 mins
hdl_checker/__init__.py25
A
0 mins
hdl_checker/exceptions.py38
A
0 mins